今日vhdl port map怎么用(vhdl中portmap怎么用)

-告别的时代
阅读

今日vhdl port map怎么用(vhdl中portmap怎么用)

大家好,小常来为大家解答以上问题。vhdl port map怎么用,vhdl中portmap怎么用很多人还不知道,现在让我们一起来看看吧!

1、portmap是管脚映射,是在顶层程序的元件例化的时候用的,例如:component press is ------------------------------这个是你要例化的元件名 port( input : in std_logic; output :out std_logic);end component;signal right_flag: std_logic; -------当你portmap用到的信号程序里没有定义时。

2、需要自己定义key_right:press----这就是你问的PORTMAP了,这个里边的right相当于上边的input,rifht_flagport map(right,right_flag); ---相当于上边的output。

3、这样你上边的原件就可以放在整个-------------------------------------------------程序之中使用啦~有不明白的继续问我。

本文到此结束,希望对大家有所帮助。

阅读
本文由用户上传,如有侵权请联系删除!

撰写回复
更多知识